CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 交通灯

搜索资源列表

  1. trafficlight

    0下载:
  2. VHDL编写的交通灯程序,有倒计时功能,数字电路课程设计,内附状态图和dofile波形模拟!-VHDL prepared by the traffic lights procedures, the countdown function, digital circuit design courses, enclosing a state map and dofile waveform simulation!
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:4302
    • 提供者:张傻
  1. maxshiyan

    0下载:
  2. 大学vhdl语言实验大全,基于max-plus2平台,内有8-3译码器,8位加法器,数字钟,数码显示,74ls138,8,4位计数器,d,rs触发器,加法器,交通灯等,此原码基于长江大学可编程器件实验箱,如要运行在其他平台上需要重新定义管脚-University VHDL language experiment Daquan, based on the max-plus2 platform within 8-3 decoder, 8 Adder, digital clock, digital d
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:865899
    • 提供者:田晶昌
  1. VHDLgdewrrrrrrrrrrrr

    0下载:
  2. 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,通过编译、仿真,并下载到CPLD器件上进行编程制作,实现交通灯系统的控制过程。EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:4245
    • 提供者:jazvy
  1. tafficdisign

    0下载:
  2. 是用VHDL设计的交通灯原程序一句话不是进品不上传 都来看看 -VHDL design is the traffic lights is not the original sentence into procedures that do not have to look at the Cite
  3. 所属分类:Web服务器

    • 发布日期:2008-10-13
    • 文件大小:3340
    • 提供者:chenwei
  1. jiaotongdeng

    0下载:
  2. 一个用VHDL编写的在CPLD上实现模拟交通灯的程序源代码-a VHDL prepared by the CPLD on the analog signal source code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:394396
    • 提供者:田冰
  1. simplevhdl

    0下载:
  2. 我是VHDL的初学者,这是我自己编译的简单的几个VHDL码,功能有3-8解码器及其testbench,16位寄存器及其testbench和交通灯。 希望能和其他初学者一起讨论学习,并得到高手的指点-I VHDL beginners, this is my own translation of a few simple VHDL code. 3 -8 function decoder and testbench, 16 Register and testbench and traffic li
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4034
    • 提供者:yvonne
  1. nclight

    0下载:
  2. 利用硬件描述语言VHDL设计交通灯电路,设计一个十字路口交通灯控制器,东西、南北方向有红灯、黄灯、绿灯,持续时间分别为45、5、40秒。-use VHDL design of traffic lights at the circuit, the design of traffic lights at a crossroads controller East and West, North-South direction of a red light, yellow light, green li
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:998
    • 提供者:空气
  1. traffic_controller

    0下载:
  2. 这是使用VHDL编写的交通灯程序,供大家交流学习-This is the use of VHDL prepared by the traffic lights procedures for the exchange of learning
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:221062
    • 提供者:黄鹏飞
  1. VHDL_Development_Board_Sources

    0下载:
  2. 这是我最近买的一套CPLD开发板VHDL源程序并附上开发板的原理图,希望对你是一个很好的帮助!其中内容为:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟.-which I have recently bought a CPLD Development Board VHDL source code accompanied the development
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4642650
    • 提供者:Jawen
  1. EDA_jiaotongdeng

    0下载:
  2. 《数字电路EDA入门-VHDL程序实例》---交通灯程序例子-"digital circuit EDA portal-VHDL program examples" -- traffic lights procedures example
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3373
    • 提供者:张文
  1. HXRJTD

    0下载:
  2. 这是本人在Max plus2环境下用VHDL语言编的交通灯控制程序。做EDA课程设计的朋友可以下来参考参考。-This is my Max plus2 environment with VHDL addendum to the traffic lights control procedures. EDA design courses so friends from the reference reference.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:755448
    • 提供者:
  1. quartusII_clock

    0下载:
  2. vhdl语言开发,开发环境为QuartusII6.0和NIOS 6.0开发,是一个模拟交通灯的程序,其中用的芯片是stratix系列-vhdl language development, QuartusII6.0 development environment for the development and NIOS 6.0, is a simulated traffic signals procedures, which the chip is stratix Series
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:7180017
    • 提供者:河南
  1. Digital_system_design_example

    0下载:
  2. 数字系统设计实例.pdf,VHDL语言实现,7.1 半整数分频器的设计7.2 音乐发生器7.3 2FSK/2PSK信号产生器7.4 实用多功能电子表7.5 交通灯控制器 7.6 数字频率计.值得一看。-digital system design examples. Pdf, VHDL, 7.1-integer divider design Music Generator 7.2 7.3 2FSK/2PSK Signal Generator 7.4 Practical multi-functi
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:457718
    • 提供者:王天
  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Development Board VHDL source code q
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3152400
    • 提供者:Jawen
  1. Multisim_circle

    0下载:
  2. Multisim 8可以将不同类型的电路组成混合电路进行仿真,界面直观,操作方便。本文先研究Multisim 8的操作方法及其应用实例。之后分别根据交通灯系统和出租车计价器系统的设计要求提出其改进的系统实施方案。然后根据两系统的改进方案,分别设计其数据子系统和控制子系统,并画出实现的电原理图,其中部分模块用VHDL语言实现。最后在Multisim 8中进行仿真,验证两系统的改进方案均正确实现了各自设计要求中的诸项功能。-Multisim 8 will be different types of
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:7665547
    • 提供者:
  1. redandyellow

    0下载:
  2. 交通灯,十字路口红绿灯的VHDL程序,绝对可用-traffic lights, traffic lights crossroads VHDL procedures, absolutely available
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:49820
    • 提供者:zhqiang
  1. TrafficLights_VHDL

    0下载:
  2. 交通灯信号控制器,VHDL语言编写,已实验通过,具体见RAR注释-traffic signal controller, VHDL language, experiment, see specific RAR Notes
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4207
    • 提供者:小花猫
  1. jiaotongdengcodes

    0下载:
  2. 实例制作的一个有关交通灯的VHDL代码,从各模块到顶层文件的代码一一列出,详细周到,附带仿真波形图和芯片管脚锁定的相关内容,绝对物超所值。-produced an example of the traffic light VHDL code, from the module to the top of the document sets out a code on January 1, thoughtful details, fringe simulation waveform map and
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:151936
    • 提供者:潘世雄
  1. jtd

    0下载:
  2. VHDL实现交通灯-VHDL traffic lights
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1812
    • 提供者:何征
  1. trafficlightVHDL

    0下载:
  2. 用VHDL写的交通灯控制器,基本功能齐全,和马路上十字路口的红绿灯模拟得很像的-write VHDL traffic signal controllers and complete basic functions, on the road and the traffic lights crossroads as the very Simulation
  3. 所属分类:交通/航空行业

    • 发布日期:2008-10-13
    • 文件大小:65837
    • 提供者:solarphoebus
« 1 2 3 4 5 6 78 9 10 11 12 ... 18 »
搜珍网 www.dssz.com